Failure: "Std_logic not visible"

~ 0 min
2016-11-17 16:11

Mogelijke oplossing:

  1. Voeg het global package ieee toe.
  2. Kies ‘update component tree’ voor alle componenten.
  3. Compileer opnieuw.

Indien de laatste slag niet werkt: maak een nieuwe entity en architecture met dezelfde inhoud als de bestaande, en delete de oude entity en architecture.

Gemiddelde beoordeling: 0 (0 Stemmen)

U kunt commentaar op deze vraag geven